@@ -2,175 +2,183 @@ name: f4pga_arch_def_base
2
2
channels :
3
3
- litex-hub
4
4
- defaults
5
+ - https://repo.anaconda.com/pkgs/main
6
+ - https://repo.anaconda.com/pkgs/r
5
7
dependencies :
6
8
- _libgcc_mutex=0.1=main
7
9
- _openmp_mutex=5.1=1_gnu
8
- - binutils-riscv64-elf=2.34=20220614_111216
10
+ - binutils-riscv64-elf=2.34=20220706_160221
9
11
- bison=3.7.5=h2531618_1
10
- - bzip2=1.0.8=h7b6447c_0
11
- - c-ares=1.18 .1=h7f8727e_0
12
- - ca-certificates=2022.4.26 =h06a4308_0
12
+ - bzip2=1.0.8=h5eee18b_6
13
+ - c-ares=1.19 .1=h5eee18b_0
14
+ - ca-certificates=2024.12.31 =h06a4308_0
13
15
- capnproto=0.8.0=20210316_201220
14
16
- capnproto-java=0.1.5_0012_g44a8c1e=20201104_165332
15
- - certifi=2022.6.15 =py37h06a4308_0
17
+ - certifi=2022.12.7 =py37h06a4308_0
16
18
- cloog=0.18.0=0
17
19
- cmake=3.22.1=h1fce559_0
18
- - cython=0.29.28=py37h295c915_0
20
+ - cython=0.29.33=py37h6a678d5_0
19
21
- dbus=1.13.18=hb2f20db_0
20
- - expat=2.4 .4=h295c915_0
22
+ - expat=2.6 .4=h6a678d5_0
21
23
- flake8=4.0.1=pyhd3eb1b0_1
22
24
- flex=2.6.4=ha10e3a4_1
23
- - freetype=2.11.0=h70c0345_0
25
+ - flit-core=3.6.0=pyhd3eb1b0_0
26
+ - freetype=2.12.1=h4a9f257_0
24
27
- gcc-riscv64-elf-newlib=9.2.0=20201119_154229
25
28
- gcc-riscv64-elf-nostdc=9.2.0=20210923_213204
26
- - glib=2.69.1=h4ff587b_1
27
- - gmp=6.2.1=h295c915_3
28
- - gperftools=2.9.1_7_gfe85bbd=20220512_085338
29
+ - glib=2.78.4=h6a678d5_0
30
+ - glib-tools=2.78.4=h6a678d5_0
31
+ - gmp=6.3.0=h6a678d5_0
32
+ - gperftools=2.15_128_gfc496c8=20240223_100318
29
33
- icestorm=0.0_0719_g792cef0=20201120_145821
30
- - icu=58.2=he6710b0_3
34
+ - icu=73.1=h6a678d5_0
31
35
- importlib-metadata=4.11.3=py37h06a4308_0
32
36
- isl=0.21=20210924_145025
33
37
- iverilog=s20150603_0957_gad862020=20201120_145821
34
- - krb5=1.19.2=hac12032_0
35
- - ld_impl_linux-64=2.38=h1181459_1
36
- - libcurl=7.82.0=h0b77cf5_0
37
- - libedit=3.1.20210910=h7f8727e_0
38
+ - krb5=1.20.1=h568e23c_1
39
+ - ld_impl_linux-64=2.40=h12ee557_0
40
+ - libcurl=8.2.1=h91b91d3_0
41
+ - libedit=3.1.20230828=h5eee18b_0
38
42
- libev=4.33=h7f8727e_1
39
- - libffi=3.3=he6710b0_2
40
- - libftdi=1.3=20220104_201921
43
+ - libffi=3.4.4=h6a678d5_1
44
+ - libftdi=1.3=20220706_155948
41
45
- libgcc-ng=11.2.0=h1234567_1
46
+ - libglib=2.78.4=hdc74915_0
42
47
- libgomp=11.2.0=h1234567_1
43
- - libiconv=1.16=h7f8727e_2
44
- - libnghttp2=1.46 .0=hce63b2e_0
45
- - libpng=1.6.37=hbc83047_0
46
- - libssh2=1.10.0=h8f2d780_0
48
+ - libiconv=1.16=h5eee18b_3
49
+ - libnghttp2=1.52 .0=ha637b67_1
50
+ - libpng=1.6.39=h5eee18b_0
51
+ - libssh2=1.10.0=h37d81fd_2
47
52
- libstdcxx-ng=11.2.0=h1234567_1
48
53
- libunwind=1.5.0=h295c915_1
49
- - libusb=1.0.20=20220104_201921
50
- - libuuid=1.0.3=h7f8727e_2
51
- - libuv=1.40.0=h7b6447c_0
54
+ - libusb=1.0.20=20220706_155948
55
+ - libuuid=1.41.5=h5eee18b_0
56
+ - libuv=1.44.2=h5eee18b_0
52
57
- libxcb=1.15=h7f8727e_0
53
- - libxml2=2.9.14=h74e7548_0
54
- - lz4-c=1.9.3=h295c915_1
58
+ - libxml2=2.13.5=hfdd30dd_0
59
+ - lz4-c=1.9.4=h6a678d5_1
55
60
- m4=1.4.18=h4e445db_0
56
61
- make=4.2.1=h1bed415_1
57
62
- mccabe=0.7.0=pyhd3eb1b0_0
58
- - mpc=1.1.0=h10f8cd9_1
59
- - mpfr=4.0.2=hb69a4c5_1
60
- - ncurses=6.3=h7f8727e_2
61
- - nodejs=10.13 .0=he6710b0_0
63
+ - mpc=1.3.1=h5eee18b_0
64
+ - mpfr=4.2.1=h5eee18b_0
65
+ - ncurses=6.4=h6a678d5_0
66
+ - nodejs=18.16 .0=ha637b67_1
62
67
- openjdk=11.0.13=h87a67e3_0
63
68
- openocd=0.10.0_1514_ga8edbd020=20201119_154304
64
- - openssl=1.1.1p=h5eee18b_0
69
+ - openssl=1.1.1w=h7f8727e_0
65
70
- packaging=21.3=pyhd3eb1b0_0
66
71
- pcre=8.45=h295c915_0
67
- - perl=5.26.2=h14c3975_0
72
+ - pcre2=10.42=hebb0a14_1
73
+ - perl=5.38.2=0_h5eee18b_perl5
68
74
- pip=21.1.3=py37h06a4308_0
69
75
- pkg-config=0.29.2=h1bed415_8
70
- - prjxray-db=0.0_257_g0a0adde=20220512_085338
71
- - prjxray-tools=0.1_2986_g5937733d=20220512_085338
76
+ - prjxray-db=0.0_257_g0a0adde=20240223_100318
77
+ - prjxray-tools=0.1_3252_gc6f57643=20240223_100318
72
78
- pycodestyle=2.8.0=pyhd3eb1b0_0
73
79
- pyflakes=2.4.0=pyhd3eb1b0_0
74
- - pyparsing=3.0.4=pyhd3eb1b0_0
75
- - python=3.7.13=h12debd9_0
76
- - readline=8.1.2=h7f8727e_1
80
+ - pyparsing=3.0.9=py37h06a4308_0
81
+ - python=3.7.16=h7a1cb2a_0
82
+ - readline=8.2=h5eee18b_0
77
83
- rhash=1.4.1=h3c74f83_1
78
- - setuptools=61.2.0 =py37h06a4308_0
79
- - sqlite=3.38.5=hc218d9a_0
80
- - surelog=0.0_4939_g05069109a=20220620_233433_py37
84
+ - setuptools=65.6.3 =py37h06a4308_0
85
+ - sqlite=3.45.3=h5eee18b_0
86
+ - surelog=1.82_61_g2c4aba06b5=20240223_100318_py37
81
87
- swig=4.0.2=h295c915_4
82
- - symbiflow-yosys-plugins=1.0.0_7_919_g2fa356d=20220512_085338
83
- - tbb=2020.3=hfd86e86_0
84
- - tk=8.6.12=h1ccaba5_0
85
- - typing_extensions=4.1.1=pyh06a4308_0
86
- - vtr-optimized=8.0.0_5383_gc012f19d3=20220512_085338
87
- - wheel=0.37.1=pyhd3eb1b0_0
88
- - xz=5.2.5=h7f8727e_1
89
- - yosys=0.17_7_g990c9b8e1=20220512_085338_py37
88
+ - symbiflow-yosys-plugins=1.20230425_62_g0ad1af2=20230606_125334
89
+ - tbb=2022.0.0=hdb19cb5_0
90
+ - tk=8.6.14=h39e8969_0
91
+ - typing_extensions=4.4.0=py37h06a4308_0
92
+ - vtr-optimized=8.0.0_6959_ga7fae8fb2=20230131_213614
93
+ - wheel=0.38.4=py37h06a4308_0
94
+ - xz=5.6.4=h5eee18b_1
95
+ - yosys=0.38_93_g84116c9a3=20240223_100318_py37
90
96
- zachjs-sv2v=0.0.5_0025_ge9f9696=20201120_205532
91
- - zipp=3.8 .0=py37h06a4308_0
92
- - zlib=1.2.12=h7f8727e_2
93
- - zstd=1.5.2=ha4553b6_0
97
+ - zipp=3.11 .0=py37h06a4308_0
98
+ - zlib=1.2.13=h5eee18b_1
99
+ - zstd=1.5.6=hc292b87_0
94
100
- pip :
95
- - Arpeggio==2.0.0
96
- - attrs==21.4 .0
97
- - cairocffi==1.3.0
98
- - CairoSVG==2.5.2
101
+ - Arpeggio==2.0.2
102
+ - attrs==24.2 .0
103
+ - cairocffi==1.6.1
104
+ - CairoSVG==2.7.1
99
105
- cffi==1.15.1
100
- - charset-normalizer==2.1.0
101
- - colorama==0.4.5
102
- - cryptography==37 .0.2
103
- - cssselect2==0.6 .0
106
+ - charset-normalizer==3.4.1
107
+ - colorama==0.4.6
108
+ - cryptography==44 .0.1
109
+ - cssselect2==0.7 .0
104
110
- cycler==0.11.0
105
111
- defusedxml==0.7.1
106
112
- edalize @ https://github.com/lowRISC/edalize/archive/ot.zip
113
+ - exceptiongroup==1.2.2
107
114
- f4pga @ https://github.com/chipsalliance/f4pga/archive/main.zip#subdirectory=f4pga
108
115
- fasm==0.0.2.post88
109
- - fasm-utils @ git+https://github.com/QuickLogic-Corp/quicklogic-fasm-utils@3d6a375ddb6b55aaa5a59d99e44a207d4c18709f
110
- - fonttools==4.33.3
111
- - fusesoc @ git+https://github.com/lowRISC/fusesoc.git@14dfc825ced58fe1fb343662fa80fc4fbd0fdc50
112
- - future==0.18.2
113
- - gitdb==4.0.9
114
- - GitPython==3.1.27
116
+ - fasm-utils @
117
+ git+https://github.com/QuickLogic-Corp/quicklogic-fasm-utils@3d6a375ddb6b55aaa5a59d99e44a207d4c18709f
118
+ - fonttools==4.38.0
119
+ - fusesoc @
120
+ git+https://github.com/lowRISC/fusesoc.git@14dfc825ced58fe1fb343662fa80fc4fbd0fdc50
121
+ - gitdb==4.0.12
122
+ - GitPython==3.1.44
115
123
- hilbertcurve==1.0.5
116
- - idna==3.3
117
- - importlib-resources==5.8 .0
118
- - iniconfig==1.1.1
124
+ - idna==3.10
125
+ - importlib-resources==5.12 .0
126
+ - iniconfig==2.0.0
119
127
- intelhex==2.3.0
120
128
- intervaltree==3.1.0
121
- - Jinja2 ==3.1.2
122
- - jsonmerge==1.8.0
123
- - jsonschema==4.6.1
124
- - kiwisolver==1.4.3
125
- - lxml==4.9 .1
126
- - Mako==1.2.1
127
- - MarkupSafe==2.1.1
128
- - matplotlib==3.5.2
129
+ - jinja2 ==3.1.5
130
+ - jsonmerge==1.9.2
131
+ - jsonschema==4.17.3
132
+ - kiwisolver==1.4.5
133
+ - lxml==5.3 .1
134
+ - Mako==1.2.4
135
+ - MarkupSafe==2.1.5
136
+ - matplotlib==3.5.3
129
137
- numpy==1.21.6
130
- - okonomiyaki==1.3.2
131
- - parameterized==0.8.1
132
- - pdfminer.six==20220524
133
- - Pillow==9.2.0
134
- - pluggy==1.0.0
138
+ - okonomiyaki==3.0.0
139
+ - parameterized==0.9.0
140
+ - pdfminer.six==20231228
141
+ - Pillow==9.5.0
142
+ - pkgutil-resolve-name==1.3.10
143
+ - pluggy==1.2.0
135
144
- ply==3.11
136
- - progressbar2==4.0.0
137
- - py==1.11.0
145
+ - progressbar2==4.2.0
138
146
- pycapnp==1.1.0
139
147
- pycparser==2.21
140
- - pyjson==1.3.0
141
- - pyjson5==1.6.1
142
- - pyrsistent==0.18.1
148
+ - pyjson==1.4.1
149
+ - pyjson5==1.6.8
150
+ - pyrsistent==0.19.3
143
151
- pyserial==3.5
144
- - pytest==7.1.2
152
+ - pytest==7.4.4
145
153
- python-constraint==1.4.0
146
- - python-dateutil==2.8.2
154
+ - python-dateutil==2.9.0.post0
147
155
- python-fpga-interchange @ https://github.com/chipsalliance/python-fpga-interchange/archive/master.zip
148
- - python-sat==0.1.7.dev18
149
- - python-utils==3.3.3
156
+ - python-sat==1.8.dev14
157
+ - python-utils==3.5.2
150
158
- pyusb==1.2.1
151
- - PyYAML==6.0
152
- # TODO: Change to upstream when https://github.com/QuickLogic-Corp/quicklogic-fasm/pull/26 is merged
153
- - quicklogic-fasm @ https://github.com/antmicro/quicklogic-fasm/archive/607849ec0bdef8740be33dbaa49d15a3d400f809.zip
154
- - requests==2.28.1
155
- - rr-graph @ git+https://github.com/chipsalliance/f4pga-rr-graph.git@b8f0ebb6fd9842ae8bb7ff51a0adc5f76a853802
159
+ - PyYAML==6.0.1
160
+ - quicklogic-fasm @
161
+ https://github.com/QuickLogic-Corp/quicklogic-fasm/archive/aaf4c314a165b6185b0983019d8aae4d0d4db6cb.zip
162
+ - requests==2.31.0
163
+ - rr-graph @
164
+ git+https://github.com/chipsalliance/f4pga-rr-graph.git@b8f0ebb6fd9842ae8bb7ff51a0adc5f76a853802
156
165
- scipy==1.7.3
157
- - simplejson==3.17.6
158
- - simplesat==0.8.2
159
- - six==1.16 .0
160
- - smmap==5.0.0
166
+ - simplejson==3.19.3
167
+ - simplesat==0.9.1
168
+ - six==1.17 .0
169
+ - smmap==5.0.2
161
170
- sortedcontainers==2.4.0
162
- - svgwrite==1.4.2
163
- - termcolor==1.1 .0
164
- - textX==3.0.0
165
- - tinycss2==1.1 .1
171
+ - svgwrite==1.4.3
172
+ - termcolor==2.3 .0
173
+ - textX==3.1.1
174
+ - tinycss2==1.2 .1
166
175
- tinyfpgab==1.1.0
167
176
- tinyprog==1.0.21
168
177
- tomli==2.0.1
169
- - tqdm==4.64.0
170
- - urllib3==1.26.9
178
+ - tqdm==4.67.1
179
+ - urllib3==2.0.7
171
180
- webencodings==0.5.1
172
181
- yapf==0.26.0
173
- - zipfile2==0.0.12
174
182
- -e third_party/prjxray
175
183
- -e third_party/xc-fasm
176
184
- -e third_party/qlf-fasm
0 commit comments